null

Recently Viewed

General

Filter By

Sort By:

Display Type:
Filters
  • Book
  • Qty in Cart
  • Quantity
  • Price
  • Subtotal
  • 3D Integration for NoC-based SoC Architectures by Abbas Sheibanyrad 9781461427483

    3D Integration for NoC-based SoC Architectures by Abbas Sheibanyrad 9781461427483

    £101.47
    This book presents the research challenges that are due to the introduction of the 3rd dimension in chips for researchers and covers the whole architectural design approach for 3D-SoCs. Nowadays the 3D-Integration technologies, 3D-Design techniques, and...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.47
    Subtotal:
  • Inverse Problems in Electric Circuits and Electromagnetics by N.V. Korovkin 9780387335247

    Inverse Problems in Electric Circuits and Electromagnetics by N.V. Korovkin 9780387335247

    £90.27
    This is the first book to offer a comprehensive exploration of new methods in inverse problems in electromagnetics. The book provides systematic descriptions of the most important practical inverse problems, and details new methods to solve them. Also...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Functional Verification of Programmable Embedded Architectures: A Top-Down Approach by Prabhat Mishra 9780387261430

    Functional Verification of Programmable Embedded Architectures: A Top-Down Approach by Prabhat Mishra 9780387261430

    RRP: £89.99
    £89.87
    It is widely acknowledged that the cost of validation and testing comprises a s- nificant percentage of the overall development costs for electronic systems today, and is expected to escalate sharply in the future. Many studies have shown that up to 70%...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Basic Electronic Circuits A. H. Hoskyns 9780419114208

    Basic Electronic Circuits A. H. Hoskyns 9780419114208

    RRP: £44.99
    £44.97
    In the past, the teaching of electricity and electronics has more often than not been carried out from a theoretical and often highly academic standpoint. Fundamentals and basic concepts have often been presented with no indication of their practical...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £44.99
    £44.97
    Subtotal:
  • Principles of Moessbauer Spectroscopy by T. C. Gibb 9780412139604

    Principles of Moessbauer Spectroscopy by T. C. Gibb 9780412139604

    RRP: £44.99
    £44.97
    The emergence of M6ssbauer spectroscopy as an important experi mental technique for the study of solids has resulted in a wide range of applications in chemistry, physics, metallurgy and biophysics. This book is intended to summarize the elementary...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £44.99
    £44.97
    Subtotal:
  • Problem Solving For Engineers and Scientists: A Creative Approach by Raymond Friedman 9780442004781

    Problem Solving For Engineers and Scientists: A Creative Approach by Raymond Friedman 9780442004781

    £91.57
    Let's assume that you, the reader, have been educated in the basics of science and perhaps some branch of engineering. You have access to textbooks and handbooks, and you are comfortable with a computer. One day, you find yourself faced with a technical...
    Qty in Cart: 0
    Quantity:
    Price:
    £91.57
    Subtotal:
  • PSpice Power Electronic and Power Circuit Simulation by Stephen Philip Tubbs 9780965944694

    PSpice Power Electronic and Power Circuit Simulation by Stephen Philip Tubbs 9780965944694

    RRP: £37.96
    £27.46
    Apologies but we at Booksplease don't have a full description for this book.Book InformationISBN 9780965944694Author Stephen Philip TubbsFormat PaperbackPage Count 140Imprint Stephen P. TubbsPublisher Stephen P. TubbsWeight(grams) 333g
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £37.96
    £27.46
    Subtotal:
  • Design of Modulators for Oversampled Converters by Feng Wang 9780792380634

    Design of Modulators for Oversampled Converters by Feng Wang 9780792380634

    £90.27
    Oversampled A/D converters have become very popular in recent years. Some of their advantages include relaxed requirements for anti-alias filters, relaxed requirements for component matching, high resolution and compatibility with digital VLSI technology...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • CMOS Current-Mode Circuits for Data Communications by Fei Yuan 9780387297583

    CMOS Current-Mode Circuits for Data Communications by Fei Yuan 9780387297583

    £90.87
    This book deals with the analysis and design of CMOS current-mode circuits for data communications. CMOS current-mode sampled-data networks, i.e. switched-current circuits, are excluded. Major subjects covered in the book include: a critical comparison...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.87
    Subtotal:
  • Minimizing and Exploiting Leakage in VLSI Design by Nikhil Jayakumar 9781489985293

    Minimizing and Exploiting Leakage in VLSI Design by Nikhil Jayakumar 9781489985293

    £101.36
    Power consumption of VLSI (Very Large Scale Integrated) circuits has been growing at an alarmingly rapid rate. This increase in power consumption, coupled with the increasing demand for portable/hand-held electronics, has made power consumption a...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.36
    Subtotal:
  • Power Trade-offs and Low-Power in Analog CMOS ICs by Mihai A.T. Sanduleanu 9780792376422

    Power Trade-offs and Low-Power in Analog CMOS ICs by Mihai A.T. Sanduleanu 9780792376422

    RRP: £89.99
    £89.87
    This volume concerns power, noise and accuracy in CMOS Analog IC Design. The authors show that power, noise and accuracy should be treated in a unitary way, as the three are inter-related. The book discusses all possible practical power-related specs at...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Memory Design Techniques for Low Energy Embedded Systems by Alberto Macii 9780792376903

    Memory Design Techniques for Low Energy Embedded Systems by Alberto Macii 9780792376903

    £90.27
    Memory Design Techniques for Low Energy Embedded Systems centers one of the most outstanding problems in chip design for embedded application. It guides the reader through different memory organizations and technologies and it reviews the most successful...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • High Speed Semiconductor Devices: Circuit aspects and fundamental behaviour by H. Beneking 9780412562204

    High Speed Semiconductor Devices: Circuit aspects and fundamental behaviour by H. Beneking 9780412562204

    £90.27
    This book focuses on circuit theory when using high speed semiconductor devices. It gives a comprehensive introduction suitable for advanced students of electrical engineering and physics. The book is practically orientated, covering not just the...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Design of High Frequency Integrated Analogue Filters by Yichuang Sun 9780852969762

    Design of High Frequency Integrated Analogue Filters by Yichuang Sun 9780852969762

    RRP: £96.00
    £90.87
    Analogue filters will always be needed for interfacing between digital systems and the 'real' analogue world. In fact, the high frequency integrated analogue filter has become a key component in achieving ubiquitous communication and computing. In recent...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £96.00
    £90.87
    Subtotal:
  • Reconfigurable Computing: From FPGAs to Hardware/Software Codesign by Joao M. P. Cardoso 9781489988591

    Reconfigurable Computing: From FPGAs to Hardware/Software Codesign by Joao M. P. Cardoso 9781489988591

    £101.54
    As the complexity of modern embedded systems increases, it becomes less practical to design monolithic processing platforms. As a result, reconfigurable computing is being adopted widely for more flexible design. Reconfigurable Computers offer the...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.54
    Subtotal:
  • Designing Embedded Systems with the SIGNAL Programming Language: Synchronous, Reactive Specification by Abdoulaye Gamatie 9781489985125

    Designing Embedded Systems with the SIGNAL Programming Language: Synchronous, Reactive Specification by Abdoulaye Gamatie 9781489985125

    £101.58
    I am very pleased to play even a small part in the publication of this book on the SIGNAL language and its environment POLYCHRONY. I am sure it will be a s- ni?cant milestone in the development of the SIGNAL language, of synchronous computing in general,...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.58
    Subtotal:
  • On and Off-Chip Crosstalk Avoidance in VLSI Design by Chunjie Duan 9781489983275

    On and Off-Chip Crosstalk Avoidance in VLSI Design by Chunjie Duan 9781489983275

    £101.47
    Deep Sub-Micron (DSM) processes present many changes to Very Large Scale Integration (VLSI) circuit designers. One of the greatest challenges is crosstalk, which becomes significant with shrinking feature sizes of VLSI fabrication processes. The presence...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.47
    Subtotal:
  • Security in Embedded Devices by Catherine H. Gebotys 9781461425199

    Security in Embedded Devices by Catherine H. Gebotys 9781461425199

    £101.58
    Although security is prevalent in PCs, wireless communications and other systems today, it is expected to become increasingly important and widespread in many embedded devices. For some time, typical embedded system designers have been dealing with...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.58
    Subtotal:
  • Carbon Nanotube Electronics by Ali Javey 9781441942296

    Carbon Nanotube Electronics by Ali Javey 9781441942296

    £101.28
    This book provides a complete overview of the field of carbon nanotube electronics. It covers materials and physical properties, synthesis and fabrication processes, devices and circuits, modeling, and finally novel applications of nanotube-based...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.28
    Subtotal:
  • Timed Boolean Functions: A Unified Formalism for Exact Timing Analysis by William K. Lam 9780792394549

    Timed Boolean Functions: A Unified Formalism for Exact Timing Analysis by William K. Lam 9780792394549

    £90.27
    Timing research in high performance VLSI systems has advanced at a steady pace over the last few years, while tools, especially theoretical mechanisms, lag behind. Much present timing research relies heavily on timing diagrams, which, although intuitive,...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • The Verilog (R) Hardware Description Language by Donald Thomas 9780387849300

    The Verilog (R) Hardware Description Language by Donald Thomas 9780387849300

    RRP: £64.99
    £60.01
    XV From the Old to the New xvii Acknowledgments xx Verilog A Tutorial Introduction Getting Started 2 A Structural Description 2 Simulating the binaryToESeg Driver 4 Creating Ports For the Module 7 Creating a Testbench For a Module 8 Behavioral Modeling...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £64.99
    £60.01
    Subtotal:
  • High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS by Pui In Mak 9781489992208

    High-/Mixed-Voltage Analog and RF Circuit Techniques for Nanoscale CMOS by Pui In Mak 9781489992208

    £101.42
    This book presents high-/mixed-voltage analog and radio frequency (RF) circuit techniques for developing low-cost multistandard wireless receivers in nm-length CMOS processes. Key benefits of high-/mixed-voltage RF and analog CMOS circuits are explained,...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.42
    Subtotal:
  • Biomimetic Sensor Technology by Kiyoshi Toko 9780521593427

    Biomimetic Sensor Technology by Kiyoshi Toko 9780521593427

    RRP: £125.00
    £93.23
    This book deals with biomimetic sensors that can quantify taste and smell - the electronic tongue and nose. Of all sensor technologies, these have been widely considered as the most difficult to realise and the development of these sensors significantly...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £125.00
    £93.23
    Subtotal:
  • Micro-Assembly Technologies and Applications: IFIP TC5 WG5.5 Fourth International Precision Assembly Seminar (IPAS'2008) Chamonix, France, February 10-13, 2008 by Sandra Koelemeijer 9780387774022

    Micro-Assembly Technologies and Applications: IFIP TC5 WG5.5 Fourth International Precision Assembly Seminar (IPAS'2008) Chamonix, France, February 10-13, 2008 by Sandra Koelemeijer 9780387774022

    £90.27
    Micro-assembly is a key enabling technology for cost effective manufacture of new generations of complex micro products. It is also a critical technology for retaining mdustrial capabilities in high labour cost areas such as Europe since up to 80% of the...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Formal Semantics and Proof Techniques for Optimizing VHDL Models by Kothanda Umamageswaran 9780792383758

    Formal Semantics and Proof Techniques for Optimizing VHDL Models by Kothanda Umamageswaran 9780792383758

    RRP: £89.99
    £89.87
    Written expressly for hardware designers, this book presents a formal model of VHDL clearly specifying both the static and dynamic semantics of VHDL. It provides a mathematical framework for representing VHDL constructs and shows how those constructs can...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Efficient Branch and Bound Search with Application to Computer-Aided Design by Xinghao Chen 9780792396734

    Efficient Branch and Bound Search with Application to Computer-Aided Design by Xinghao Chen 9780792396734

    RRP: £89.99
    £89.87
    Branch-and-bound search has been known for a long time and has been widely used in solving a variety of problems in computer-aided design (CAD) and many important optimization problems. In many applications, the classic branch-and-bound search methods...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Electronic CAD Frameworks by Timothy J. Barnes 9780792392521

    Electronic CAD Frameworks by Timothy J. Barnes 9780792392521

    RRP: £89.99
    £89.87
    When it comes to frameworks, the familiar story of the elephant and the six blind philosophers seems to apply. As each philoso pher encountered a separate part of the elephant, each pronounced his considered, but flawed judgement. One blind philosopher...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Low-Energy FPGAs - Architecture and Design by Varghese George 9780792374282

    Low-Energy FPGAs - Architecture and Design by Varghese George 9780792374282

    RRP: £89.99
    £89.87
    Low-Energy FPGAs: Architecture and Design is a primary resource for both researchers and practicing engineers in the field of digital circuit design. The book addresses the energy consumption of Field-Programmable Gate Arrays (FPGAs). FPGAs are becoming...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Evolutionary Algorithms for VLSI CAD by Rolf Drechsler 9780792381686

    Evolutionary Algorithms for VLSI CAD by Rolf Drechsler 9780792381686

    RRP: £89.99
    £89.87
    In VLSI CAD, difficult optimization problems have to be solved on a constant basis. Various optimization techniques have been proposed in the past. While some of these methods have been shown to work well in applications and have become somewhat...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Solder Paste in Electronics Packaging: Technology and Applications in Surface Mount, Hybrid Circuits, and Component Assembly by Jennie S. Hwang 9780442013530

    Solder Paste in Electronics Packaging: Technology and Applications in Surface Mount, Hybrid Circuits, and Component Assembly by Jennie S. Hwang 9780442013530

    £90.27
    One of the strongest trends in the design and manufacture of modern electronics packages and assemblies is the utilization of surface mount technology as a replacement for through-hole tech nology. The mounting of electronic devices and components onto...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Multi-GHz Frequency Synthesis & Division: Frequency Synthesizer Design for 5 GHz Wireless LAN Systems by Hamid R. Rategh 9780792375333

    Multi-GHz Frequency Synthesis & Division: Frequency Synthesizer Design for 5 GHz Wireless LAN Systems by Hamid R. Rategh 9780792375333

    £90.27
    In the past 10 years extensive effort has been dedicated to commercial wireless local area network (WLAN) systems. Despite all these efforts, however, none of the existing systems has been successful, mainly due to their low data rates. The increasing...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Silicon-on-Insulator Technology: Materials to VLSI by Jean-Pierre Colinge 9780792391500

    Silicon-on-Insulator Technology: Materials to VLSI by Jean-Pierre Colinge 9780792391500

    RRP: £89.99
    £89.87
    5. 2. Distinction between thick- and thin-film devices . . . . . . . . . . . . . . . . . . . . 109 5. 3. I-V Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • VHDL and FPLDs in Digital Systems Design, Prototyping and Customization by Zoran Salcic 9780792381440

    VHDL and FPLDs in Digital Systems Design, Prototyping and Customization by Zoran Salcic 9780792381440

    £90.87
    This book represents an attempt to treat three aspects of digital systems, design, prototyping and customization, in an integrated manner using two major technologies: VHSIC Hardware Description Language (VHDL) as a modeling and specification tool, and...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.87
    Subtotal:
  • UML for SOC Design by Grant L. Martin 9780387257440

    UML for SOC Design by Grant L. Martin 9780387257440

    £90.27
    A tutorial approach to using the UML modeling language in system-on-chip design Based on the DAC 2004 tutorial, applicable for students and professionals Contributions by top-level international researchers The best work at the first UML for SoC workshop...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • Synchronous Equivalence: Formal Methods for Embedded Systems by Harry Hsieh 9780792372622

    Synchronous Equivalence: Formal Methods for Embedded Systems by Harry Hsieh 9780792372622

    £90.27
    An embedded system is loosely defined as any system that utilizes electronics but is not perceived or used as a general-purpose computer. Traditionally, one or more electronic circuits or microprocessors are literally embedded in the system, either...
    Qty in Cart: 0
    Quantity:
    Price:
    £90.27
    Subtotal:
  • High Speed A/D Converters: Understanding Data Converters Through SPICE by Alfi Moscovici 9780792372769

    High Speed A/D Converters: Understanding Data Converters Through SPICE by Alfi Moscovici 9780792372769

    RRP: £89.99
    £89.87
    The Analog to Digital Converters represent one half of the link between the world we live in - analog - and the digital world of computers, which can handle the computations required in digital signal processing. These devices are mathematically very...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Switch-Level Timing Simulation of MOS VLSI Circuits by Vasant B. Rao 9780898383027

    Switch-Level Timing Simulation of MOS VLSI Circuits by Vasant B. Rao 9780898383027

    RRP: £89.99
    £89.87
    Only two decades ago most electronic circuits were designed with a slide-rule, and the designs were verified using breadboard techniques. Simulation tools were a research curiosity and in general were mistrusted by most designers and test engineers. In...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Adaptive Techniques for Mixed Signal System on Chip by Ayman Fayed 9780387321547

    Adaptive Techniques for Mixed Signal System on Chip by Ayman Fayed 9780387321547

    RRP: £89.99
    £89.87
    This book is devoted to the subject of adaptive techniques for smart analog and mixed signal design whereby fully functional first-pass silicon is achievable. To our knowledge, this is the first book devoted to this subject. The techniques described...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Variation Tolerant On-Chip Interconnects by Ethiopia Enideg Nigussie 9781489990860

    Variation Tolerant On-Chip Interconnects by Ethiopia Enideg Nigussie 9781489990860

    £101.60
    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects. Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources...
    Qty in Cart: 0
    Quantity:
    Price:
    £101.60
    Subtotal:
  • Specification and Design Methodology for Real-Time Embedded Systems by Randall S. Janka 9780792376262

    Specification and Design Methodology for Real-Time Embedded Systems by Randall S. Janka 9780792376262

    RRP: £89.99
    £89.87
    Specification and design methodology has seen significant growth as a research area over the last decade, tracking but lagging behind VLSI design technology in general and the CAD industry in particular. The commercial rush to market tries to leverage...
    Qty in Cart: 0
    Quantity:
    Price:
    RRP: £89.99
    £89.87
    Subtotal:
  • Total: items /

Adding your books to cart